求高手修改一下EDA的课程设计 数字秒表;控制模块出了问题LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CTRL ISPORT(CLR,CLK,SP:IN STD_LOGIC;EN :OUT STD_LOGIC);END;ARCHITECTURE BEHAVE OF CTRL ISCO

来源:学生作业帮助网 编辑:作业帮 时间:2024/05/14 13:00:03

求高手修改一下EDA的课程设计 数字秒表;控制模块出了问题
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY CTRL IS
PORT(CLR,CLK,SP:IN STD_LOGIC;
EN :OUT STD_LOGIC);
END;
ARCHITECTURE BEHAVE OF CTRL IS
CONSTANT S0 :STD_LOGIC_VECTOR(1 DOWNTO 0) := "00";
CONSTANT S1 :STD_LOGIC_VECTOR(1 DOWNTO 0) := "01";
CONSTANT S2 :STD_LOGIC_VECTOR(1 DOWNTO 0) := "11";
CONSTANT S3 :STD_LOGIC_VECTOR(1 DOWNTO 0) := "10";
TYPE STATES IS (S0,S1,S2,S3);
SIGNAL CURRENT_STATE,NEXT_STATE:STATES;
BEGIN
错误提示在倒数第3行,Error (10465):VHDL error at CTRL.vhd(13):name "S0" cannot be used because it is already used for a previously declared item
Error (10465):VHDL error at CTRL.vhd(13):name "S1" cannot be used because it is already used for a previously declared item
下周5要交了,我已经弄了一周了,还是没改成功,

s0已经被用过了 给它起个别的名字 ss0

你的程序有很大的问题,你告诉我具体题目要求。我帮你找错。

求高手修改一下EDA的课程设计 数字秒表;控制模块出了问题LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CTRL ISPORT(CLR,CLK,SP:IN STD_LOGIC;EN :OUT STD_LOGIC);END;ARCHITECTURE BEHAVE OF CTRL ISCO 求:数字电子技术课程设计,要比较简单的. 求∠EDA的度数 CAD2007的标注数字怎么修改?说明了吧,就是自己不想做课程设计了,要抄别人的,但总要改一下,所以想把图上的标注数字改成自己的,不过不知道怎么弄,30分 eda 数字时钟我也是学电子的.能把你的EDA课程设计给我发一份吗?设计一个数字钟设计一个能显示1/10秒、秒、分、时的12小时数字钟.熟练掌握各种计数器的使用.能用计数器构成十进制、六十 课程设计 :数字秒表电路设计 设计内容和要求:1.数字秒表电路设计; 2.设计电路原理图及PCB版图;若是有仿真波形及仿真结果更好; 请问你的EDA 学的不错嘛?我现在要找个EDA高手. 求英文高手帮忙翻译 小弟谢谢各位啦~!基于EDA技术PCB印制电路板的制作工序流程数字控制系统设计与VHDL建模 下午就要交论文了~~~帮帮忙吧 谢谢大家了! 4数字秒表的设计与实现 数字秒表的设计课程设计,我现在有数字电子钟的电路图,怎样可以改成有秒和微秒的4位数字秒表,要有暂停和归零功能.请详细解答,最好可以附上所有的所需元件~谢谢.好了加分.这是图片。 当 求eda数字钟设计程序请根据要求在EDA实验箱上设计实用数字钟系统,要求:⑴使用EDA实验箱上的6个LED数码管从左到右依次显示有时、分、秒计数;⑵使用EDA实验箱上的开关实现十二小时制与 急求eda课程设计一份:脉冲信号发生器的设计脉冲信号发生器的设计要用vhdl语言编程 只要大概的思路与程序的大体框架即可 当然 全面了更好 EDA课程设计:设计含有异步清零和计数使能的16位二进制加减可控计数器 急求课程设计:数字电子钟逻辑电路设计(5000字))课程设计估计回答问题的朋友能知道吧,如果不知道那就不要回答了. protues的秒表仿真图单片机课程设计要求:按键控制秒表的开始暂停和复位,数码管显示数字,6位妙表,显示分,秒,十分秒,百分秒.我设计的是数码管静态显示,用了6个74ls164芯片,基于89c51芯片,但仿 EDA课程设计挂了怎么办这个是不是来年还要重修? EDA数字钟课程设计数字钟[要求]1、设计的数字钟能直接显示“时”,“分”,“秒”;2、具有时钟设置功能,方便用户进行时钟设置;3、具有整点报时功能,报时声响为四低一高,最后一响正好为 数字逻辑电路构成的数字秒表实物求购!