USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; entity suo_xian is port ( clk_out:in错误是:Else Clause following a Clock edge must hold the state of signal “ge2”

来源:学生作业帮助网 编辑:作业帮 时间:2024/05/29 10:01:37

USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; entity suo_xian is port ( clk_out:in
错误是:Else Clause following a Clock edge must hold the state of signal “ge2”

我爱你

????i can't understand